본문 바로가기

ARM SoC 개발 중


버스나 Decoder에 보면 default slave 가 있다. 머냐..

메모리 맵상에 할당되지 않은 주소(빈공간)를 접근하는 경우 활성화 되는 모듈이다.

이름 그대로 디폴트..역할은 단순하다.


HREADYOUT은 물론 잘 띄워줘야 하고, 읽기든 쓰기든 끝이 나게 하려면 꼭 띄워줘야하고

또 하나, HRESP에 에러를 띄워주는 것. 왜냐면 여기는 빈공간이니까~~


http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.faqs/ka3445.html

General: What is a default slave?

Applies to: AHB

Answer

If the memory map of a system does not define the full 4 gigabyte address space then a default slave is required, which is selected when an access is attempted to the empty areas of the memory map. The default slave should use an OKAY response for IDLE/BUSY transfers and an ERROR response sequence for NONSEQ/SEQ transfers.

구체적이다~~. 

IDLE/BUSY transfer에는 OKAY

NONSEQ/SEQ transfer에는 ERROR

를 알려줘라.


끝.



'IT' 카테고리의 다른 글

[티스토리 스킨] G1-B Mono Round  (0) 2014.07.23
Verilog 배열관련 정리  (0) 2014.07.18
[verilog] `timescale 1ns/10ps 의미  (0) 2014.07.18
IPv6 EUI-64bit address 생성하기 - IPv6  (0) 2014.07.18
AHB slave 코드 조각 - Byte access  (0) 2014.07.18

B로그0간

개발 관련 글과 유용한 정보를 공유하는 공간입니다.