본문 바로가기

The Unified Compiler Flow (UFE) uses VCS with the -kdb option and the generated simv.daidar will include the KDB information.
Verdi supports the following use models to import design from simv.daidir related files:

  • "verdi -dbdir simv.daidir"
  • "verdi -ssf novas.fsdb"

KDB 설명
VCS 에서 KDB를 생성하자

vcs -kdb 옵션으로 KDB를 생성할 수 있다.

 

KDB FSDB 적용

  • "verdi -dbdir path/simv.daidir -ssf novas.fsdb"

 

B로그0간

개발 관련 글과 유용한 정보를 공유하는 공간입니다.