본문 바로가기

Vivado 에서 RAM을 만들때 초기값을 넣어 줄 수 있다. 파일 포맷은 coe 파일인데 Vivado 에서 바로 에디팅 할 수 있다.

 

COE 파일 생성

그냥 그림으로 남겨둔다. 아래처럼 쓰면 된다.

radix = 16;
pattern = 3 0 3 1 0 1 1 3 0 2 2 2 3 0 1 1 3 0 3;

 

다른 예제 파일도 아래 링크에서 찾아볼 수 있다.

https://docs.xilinx.com/r/2021.2-English/ug896-vivado-ip/COE-File-Examples

******************************************************************
************* Example of Virtex Bit Correlator.COE *************
******************************************************************
; Sample .COE coefficient file for v2.0 and later 
; versions of the Bit Correlator core.
;
; In this core, a COE file is used to specify the value 
; of the bit mask when the Pattern Mask option is selected.
;
; Specifications:
;
; - 19 taps, hexadecimal coefficients
; - Serial input data
;
; Please refer to the datasheet for this core for more
; details on using the Mask option.
radix = 16;
pattern = 3 0 3 1 0 1 1 3 0 2 2 2 3 0 1 1 3 0 3;

 

일단 메모 완료.

B로그0간

개발 관련 글과 유용한 정보를 공유하는 공간입니다.